Mercury 2 Programmer Utility


Mercury 2 Programmer is used to write a bitstream to the Flash chip on your Mercury board via USB cable.

Steps for programming:

  1. Connect to your Mercury board via USB cable.
    Windows: The correct driver should be automatically detected by Windows. (If not, you may need to install the FTDI D2XX USB drivers.)

  2. Click the "Connect Device" button. The status pane in the lower left corner should say "Connected".

  3. Click "Load" and select the bitstream (.bit) file you generated using Xilinx Vivado 2018.2.

  4. Click "Burn" to write the bitstream to Flash. This process takes about 2 - 30 seconds, depending on your computer.

  5. The FPGA will now boot with your configuration. The Flash chip will retain this configuration even if the power is removed.

Mercury 2 Programmer is available for Windows & Linux. 
Microsoft Visual Studio 2017 was used for development.


Download Latest Release


Version History

1.0 (8/31/2019) - First public release

1.1 (6/06/2022) - Updated programmer to work with latest SPI Flash chip